MainScienceTechnologyElectronics › Sutherland HDL, Inc.

Sutherland HDL, Inc.

Edit Page
Report
Scan day: 05 March 2014 UTC
84
Virus safety - good
Description: Provides Verilog and SystemVerilog training workshops and consulting services.
Sutherland HDL - Training Workshops on Verilog and SystemVerilog "The best class I have ever taken! The lecture was thorough. The labs were fun and critical to understanding the concepts." Sutherland HDL provides expert training workshops on
Size: 251 chars

Contact Information

Email:
Phone&Fax:
Address:
Extended:

WEBSITE Info

Page title:Sutherland HDL - Training Workshops on Verilog and SystemVerilog
Keywords:verilog, SystemVerilog, system verilog, system-verilog, verilog pli, verilog training, verilog-2001, verilog2001, v2k, verilog hdl, verilog pli, synthesis, hardware description language, pli, programming language interface, pli handbook, sutherland, verilog books, verilog reference, verilog consulting, IEEE 1364, IEEE-1364, Verilog-XL, NC_Verilog, VCS, ModelSim, Synopsys, Cadence, Mentor Graphics, Model Technology, HDLCON, DVCON, SNUG, Stuart Sutherland, Sutherland HDL, Hardware Description Language
Description:Sutherland HDL training workshops on Verilog and SystemVerilog. Developed and presented by engineering experts. Emphasize on proper usage of HDLs for logic synthesis and design verification.
IP-address:206.72.102.194

WHOIS Info

NS
Name Server: NS1.STERLINK.NET
Name Server: NS2.STERLINK.NET
WHOIS
Status: clientDeleteProhibited
Status: clientRenewProhibited
Status: clientTransferProhibited
Status: clientUpdateProhibited
Date
Creation Date: 02-jul-1999
Expiration Date: 02-jul-2014